Run verilog on Mac












0















I need to simulate verilog on my Macbook Pro for school. I've tried downloading, configuring, and installing icarus and veriwell. The make test works for icarus, but not for veriwell, neither of them are recognized by the terminal.



The command I'm using is:



iverilog hello.vl


and



veriwell hello.vl


Terminal claims that neither of these commands exist...



I'm very new at configuring tools with the terminal, but I think the issue is just USING the program afterwards. Any ideas?










share|improve this question















migrated from stackoverflow.com Mar 21 '11 at 7:08


This question came from our site for professional and enthusiast programmers.














  • 1





    Does iverilog exist and are you trying to execute it from that path?

    – Adam12
    Mar 20 '11 at 22:31






  • 3





    Not sure why this was moved from stackoverflow, there are other stackoverflow questions relating to setting up tools.

    – George
    Mar 21 '11 at 12:09
















0















I need to simulate verilog on my Macbook Pro for school. I've tried downloading, configuring, and installing icarus and veriwell. The make test works for icarus, but not for veriwell, neither of them are recognized by the terminal.



The command I'm using is:



iverilog hello.vl


and



veriwell hello.vl


Terminal claims that neither of these commands exist...



I'm very new at configuring tools with the terminal, but I think the issue is just USING the program afterwards. Any ideas?










share|improve this question















migrated from stackoverflow.com Mar 21 '11 at 7:08


This question came from our site for professional and enthusiast programmers.














  • 1





    Does iverilog exist and are you trying to execute it from that path?

    – Adam12
    Mar 20 '11 at 22:31






  • 3





    Not sure why this was moved from stackoverflow, there are other stackoverflow questions relating to setting up tools.

    – George
    Mar 21 '11 at 12:09














0












0








0








I need to simulate verilog on my Macbook Pro for school. I've tried downloading, configuring, and installing icarus and veriwell. The make test works for icarus, but not for veriwell, neither of them are recognized by the terminal.



The command I'm using is:



iverilog hello.vl


and



veriwell hello.vl


Terminal claims that neither of these commands exist...



I'm very new at configuring tools with the terminal, but I think the issue is just USING the program afterwards. Any ideas?










share|improve this question
















I need to simulate verilog on my Macbook Pro for school. I've tried downloading, configuring, and installing icarus and veriwell. The make test works for icarus, but not for veriwell, neither of them are recognized by the terminal.



The command I'm using is:



iverilog hello.vl


and



veriwell hello.vl


Terminal claims that neither of these commands exist...



I'm very new at configuring tools with the terminal, but I think the issue is just USING the program afterwards. Any ideas?







macos






share|improve this question















share|improve this question













share|improve this question




share|improve this question








edited Apr 5 '11 at 0:45









Wuffers

13.6k1279117




13.6k1279117










asked Mar 19 '11 at 23:56







Gabe











migrated from stackoverflow.com Mar 21 '11 at 7:08


This question came from our site for professional and enthusiast programmers.









migrated from stackoverflow.com Mar 21 '11 at 7:08


This question came from our site for professional and enthusiast programmers.










  • 1





    Does iverilog exist and are you trying to execute it from that path?

    – Adam12
    Mar 20 '11 at 22:31






  • 3





    Not sure why this was moved from stackoverflow, there are other stackoverflow questions relating to setting up tools.

    – George
    Mar 21 '11 at 12:09














  • 1





    Does iverilog exist and are you trying to execute it from that path?

    – Adam12
    Mar 20 '11 at 22:31






  • 3





    Not sure why this was moved from stackoverflow, there are other stackoverflow questions relating to setting up tools.

    – George
    Mar 21 '11 at 12:09








1




1





Does iverilog exist and are you trying to execute it from that path?

– Adam12
Mar 20 '11 at 22:31





Does iverilog exist and are you trying to execute it from that path?

– Adam12
Mar 20 '11 at 22:31




3




3





Not sure why this was moved from stackoverflow, there are other stackoverflow questions relating to setting up tools.

– George
Mar 21 '11 at 12:09





Not sure why this was moved from stackoverflow, there are other stackoverflow questions relating to setting up tools.

– George
Mar 21 '11 at 12:09










2 Answers
2






active

oldest

votes


















0














I've not tried veriwell but I use Icarus and GTKWave for a verilog simulation environment.



I use the verilog mode in Aquamacs as a syntax highlighting editor.



Take your hello.vl (using just .v is more usual) and compile it using



iverilog -o hello hello.vl


This will create an object file called hello. To execute it, type



vpp hello


Once you start writing test benches, you will want to dump out a wave file. You do that by adding this to your test bench.



initial
begin
$dumpfile("wave.lxt");
$dumpvars(0, <test bench module>);
end


You can then load the wave.lxt into GTKWave (note that you need X11 to use GTKWave).



A little more advanced, you can set up Aquamacs to use Icarus to compile your code, so that you know if you have a compile error before leaving the editor.






share|improve this answer

































    0














    Did you do a make install? Assuming so, make sure that the directory it installed to is in your $PATH. If you are running csh/tcsh, you may need to run the rehash command for the shell to find new programs in the path.



    Here are two similar questions:




    • -bash: ls: command not found at Terminal on Mac OS X

    • How to solve "command not found" on Ubuntu bash shell?






    share|improve this answer

























      Your Answer








      StackExchange.ready(function() {
      var channelOptions = {
      tags: "".split(" "),
      id: "3"
      };
      initTagRenderer("".split(" "), "".split(" "), channelOptions);

      StackExchange.using("externalEditor", function() {
      // Have to fire editor after snippets, if snippets enabled
      if (StackExchange.settings.snippets.snippetsEnabled) {
      StackExchange.using("snippets", function() {
      createEditor();
      });
      }
      else {
      createEditor();
      }
      });

      function createEditor() {
      StackExchange.prepareEditor({
      heartbeatType: 'answer',
      autoActivateHeartbeat: false,
      convertImagesToLinks: true,
      noModals: true,
      showLowRepImageUploadWarning: true,
      reputationToPostImages: 10,
      bindNavPrevention: true,
      postfix: "",
      imageUploader: {
      brandingHtml: "Powered by u003ca class="icon-imgur-white" href="https://imgur.com/"u003eu003c/au003e",
      contentPolicyHtml: "User contributions licensed under u003ca href="https://creativecommons.org/licenses/by-sa/3.0/"u003ecc by-sa 3.0 with attribution requiredu003c/au003e u003ca href="https://stackoverflow.com/legal/content-policy"u003e(content policy)u003c/au003e",
      allowUrls: true
      },
      onDemand: true,
      discardSelector: ".discard-answer"
      ,immediatelyShowMarkdownHelp:true
      });


      }
      });














      draft saved

      draft discarded


















      StackExchange.ready(
      function () {
      StackExchange.openid.initPostLogin('.new-post-login', 'https%3a%2f%2fsuperuser.com%2fquestions%2f260242%2frun-verilog-on-mac%23new-answer', 'question_page');
      }
      );

      Post as a guest















      Required, but never shown
























      2 Answers
      2






      active

      oldest

      votes








      2 Answers
      2






      active

      oldest

      votes









      active

      oldest

      votes






      active

      oldest

      votes









      0














      I've not tried veriwell but I use Icarus and GTKWave for a verilog simulation environment.



      I use the verilog mode in Aquamacs as a syntax highlighting editor.



      Take your hello.vl (using just .v is more usual) and compile it using



      iverilog -o hello hello.vl


      This will create an object file called hello. To execute it, type



      vpp hello


      Once you start writing test benches, you will want to dump out a wave file. You do that by adding this to your test bench.



      initial
      begin
      $dumpfile("wave.lxt");
      $dumpvars(0, <test bench module>);
      end


      You can then load the wave.lxt into GTKWave (note that you need X11 to use GTKWave).



      A little more advanced, you can set up Aquamacs to use Icarus to compile your code, so that you know if you have a compile error before leaving the editor.






      share|improve this answer






























        0














        I've not tried veriwell but I use Icarus and GTKWave for a verilog simulation environment.



        I use the verilog mode in Aquamacs as a syntax highlighting editor.



        Take your hello.vl (using just .v is more usual) and compile it using



        iverilog -o hello hello.vl


        This will create an object file called hello. To execute it, type



        vpp hello


        Once you start writing test benches, you will want to dump out a wave file. You do that by adding this to your test bench.



        initial
        begin
        $dumpfile("wave.lxt");
        $dumpvars(0, <test bench module>);
        end


        You can then load the wave.lxt into GTKWave (note that you need X11 to use GTKWave).



        A little more advanced, you can set up Aquamacs to use Icarus to compile your code, so that you know if you have a compile error before leaving the editor.






        share|improve this answer




























          0












          0








          0







          I've not tried veriwell but I use Icarus and GTKWave for a verilog simulation environment.



          I use the verilog mode in Aquamacs as a syntax highlighting editor.



          Take your hello.vl (using just .v is more usual) and compile it using



          iverilog -o hello hello.vl


          This will create an object file called hello. To execute it, type



          vpp hello


          Once you start writing test benches, you will want to dump out a wave file. You do that by adding this to your test bench.



          initial
          begin
          $dumpfile("wave.lxt");
          $dumpvars(0, <test bench module>);
          end


          You can then load the wave.lxt into GTKWave (note that you need X11 to use GTKWave).



          A little more advanced, you can set up Aquamacs to use Icarus to compile your code, so that you know if you have a compile error before leaving the editor.






          share|improve this answer















          I've not tried veriwell but I use Icarus and GTKWave for a verilog simulation environment.



          I use the verilog mode in Aquamacs as a syntax highlighting editor.



          Take your hello.vl (using just .v is more usual) and compile it using



          iverilog -o hello hello.vl


          This will create an object file called hello. To execute it, type



          vpp hello


          Once you start writing test benches, you will want to dump out a wave file. You do that by adding this to your test bench.



          initial
          begin
          $dumpfile("wave.lxt");
          $dumpvars(0, <test bench module>);
          end


          You can then load the wave.lxt into GTKWave (note that you need X11 to use GTKWave).



          A little more advanced, you can set up Aquamacs to use Icarus to compile your code, so that you know if you have a compile error before leaving the editor.







          share|improve this answer














          share|improve this answer



          share|improve this answer








          edited Mar 21 '11 at 12:08

























          answered Mar 21 '11 at 12:03









          GeorgeGeorge

          1034




          1034

























              0














              Did you do a make install? Assuming so, make sure that the directory it installed to is in your $PATH. If you are running csh/tcsh, you may need to run the rehash command for the shell to find new programs in the path.



              Here are two similar questions:




              • -bash: ls: command not found at Terminal on Mac OS X

              • How to solve "command not found" on Ubuntu bash shell?






              share|improve this answer






























                0














                Did you do a make install? Assuming so, make sure that the directory it installed to is in your $PATH. If you are running csh/tcsh, you may need to run the rehash command for the shell to find new programs in the path.



                Here are two similar questions:




                • -bash: ls: command not found at Terminal on Mac OS X

                • How to solve "command not found" on Ubuntu bash shell?






                share|improve this answer




























                  0












                  0








                  0







                  Did you do a make install? Assuming so, make sure that the directory it installed to is in your $PATH. If you are running csh/tcsh, you may need to run the rehash command for the shell to find new programs in the path.



                  Here are two similar questions:




                  • -bash: ls: command not found at Terminal on Mac OS X

                  • How to solve "command not found" on Ubuntu bash shell?






                  share|improve this answer















                  Did you do a make install? Assuming so, make sure that the directory it installed to is in your $PATH. If you are running csh/tcsh, you may need to run the rehash command for the shell to find new programs in the path.



                  Here are two similar questions:




                  • -bash: ls: command not found at Terminal on Mac OS X

                  • How to solve "command not found" on Ubuntu bash shell?







                  share|improve this answer














                  share|improve this answer



                  share|improve this answer








                  edited Mar 20 '17 at 10:17









                  Community

                  1




                  1










                  answered Mar 24 '11 at 20:55









                  AndyAndy

                  1663




                  1663






























                      draft saved

                      draft discarded




















































                      Thanks for contributing an answer to Super User!


                      • Please be sure to answer the question. Provide details and share your research!

                      But avoid



                      • Asking for help, clarification, or responding to other answers.

                      • Making statements based on opinion; back them up with references or personal experience.


                      To learn more, see our tips on writing great answers.




                      draft saved


                      draft discarded














                      StackExchange.ready(
                      function () {
                      StackExchange.openid.initPostLogin('.new-post-login', 'https%3a%2f%2fsuperuser.com%2fquestions%2f260242%2frun-verilog-on-mac%23new-answer', 'question_page');
                      }
                      );

                      Post as a guest















                      Required, but never shown





















































                      Required, but never shown














                      Required, but never shown












                      Required, but never shown







                      Required, but never shown

































                      Required, but never shown














                      Required, but never shown












                      Required, but never shown







                      Required, but never shown







                      Popular posts from this blog

                      Plaza Victoria

                      In PowerPoint, is there a keyboard shortcut for bulleted / numbered list?

                      How to put 3 figures in Latex with 2 figures side by side and 1 below these side by side images but in...